D Flip Flop menggunakan Verilog


       

          Contoh DFF Menggunakan Verilog

          Konsep = Data Flip Flop adalah rangkaian logika dalam sebuah memori penyimpanan data
                    
          module dff
          (
d,        //data
clock,  //clock
reset,  //reset
q        //output (q)
          );
 input d,clock,reset;

output q;

          reg q; //menyimpan q dalam register

always@(posedge clock or negedge reset)

           if(~reset) begin
q <=1'b0; //1 bit 0
          end

          else

begin
          q <= d;

           end
           endmodule
     

                                                                     Output